Samsung and TSMC Initiate 3nm Chip Production

Samsung and TSMC Initiate 3nm Chip Production
A silicon wafer on display in San Jose, Calif., on March 23, 2011. (Justin Sullivan/Getty Images)
8/2/2022
Updated:
11/17/2022
0:00

Taiwan Semiconductor Manufacturing Company (TSMC) and Samsung Electronics both recently announced they will start mass production of 3-nanometer (nm) chips in the second half of 2022. Semiconductor industry experts believe that chip yield is the key to success.

Samsung announced on July 25 that it will mass produce 3nm chips based on gate-all-around (GAA) technology. And TSMC CEO Dr. C.C. Wei revealed at the April 16 corporate briefing that the company’s 3nm process, based on the older FinFET (fin field-effect transistor) technology, will be ready for mass production in the second half of 2022.

Samsung stated on its official website that its GAA technology “defies the performance limitations of FinFET, improving power efficiency by reducing the supply voltage level, while also enhancing performance by increasing drive current capability.”

Samsung is initially targeting the 3nm chips at “high performance, low power computing application” customers and plans eventually to expand to mobile processors.

New vs. Old Technology

As for why TSMC chose not to introduce GAA for 3nm chips, financial expert Hsu Chin-huang told The Epoch Times that it is because TSMC uses FinFET to suit its customers. As chipmaker TSMC’s customers are IC designers who have used FinFET for product development and design for many years, they are very familiar with FinFET-based chips.

Hsu further pointed out that the development and design of a chip requires a high investment cost—about 100 million to 200 million New Taiwan Dollars (about $3.3 million to $6.65 million). The research and development of new GAA technology require a higher development cost and more time. Once the R&D is initiated, there are numerous uncertainties and risks.

Hsu revealed that TSMC also has GAA technology, and according to patent queries, TSMC actually has more GAA technology patents than Samsung. It is expected that TSMC will introduce GAA in the next stage, because 2nm chips need to achieve an even smaller linewidth, which may require the use of GAA.

The Race Between Samsung and TSMC

As for Samsung, its announcement of new technology may attract customers, which is also an opportunity to build a stronger tie with existing customers, Hsu said.

According to a survey by TrendForce, a market research organization, TSMC accounted for 53.6 percent of the global foundry market, followed by Samsung with 16.3 percent, in the first quarter of 2022.

Liu Pei-chen, researcher and director of the Industrial and Economics Database of the National Taiwan Academy of Economics, told The Epoch Times that Samsung hopes to use GAA to overtake TSMC in the 3nm chip process, mainly because TSMC has monopolized the orders of Apple, its major customer, for application processor foundry in recent years, so Samsung hopes to regain the orders from Apple.

Samsung has not announced the first batch of 3nm customers. Liu noted it seems that only one Chinese cryptocurrency company and Qualcomm have placed orders so far.

Speaking of chip yield, Liu believes that with the new GAA technology, the difficulty for Samsung’s chip research and production is relatively higher. Very likely it is not easy to improve yield rate in the short term. It may not be until 2024, 2025, or even when the technology is applied at the 2nm stage, that the yield rate can be improved, he said.

However, during a recent interview, Greg Roh, head of HMC Investment & Securities Research Center in Seoul, told Yonhap News Agency that Samsung has solid fundamentals and capabilities to drive technological advances.

“As far as I know, Samsung has improved the yield rates for 3nm chips much faster than market expectations and has been adding new customers fairly quickly,” he said.